TCO Deposition: First Foreign, Increasingly Local

Majority Of HJT Cell Makers Opted For Western TCO Deposition In First Lines And Increasingly For Domestic Tools For Later Expansions

TCO Deposition: First Foreign, Increasingly Local

It’s flexible: The XEA|nova L PVD tool platform from VON ARDENNE, based on a modular design, supports a wide range of throughputs from 4,700 to 7,500 G12 wafers. (Source: Von Ardenne)

  • The TaiyangNews HJT Solar Technology Report also looks into PVD production equipment, which is commercially available from both Chinese and European companies
  • XEA|nova is a flexible tool platform from Von Ardenne that has very high degree of process flexibility facilitated by the plug-and-play cathodes and also supports wide range of throughput from 2,800 to 7,500 wafers (G12) per hour
  • Maxwell, GS-Solar and Singulus are the other leading PVD suppliers for TCO deposition
  • While S.C. New Energy also supplies PVD, but it is the only RPD tools supplier that is developing the technolgy

In an earlier article we discussed the basics of the technologies for applying in HJT solar cell production the transparent conductive oxide (TCO) layer, which acts as both an antireflective coating and a conductive electrode to extract and laterally conduct the electrical current (see Reducing Indium in HJT TCO Processing). This article provides background on the PVD and RPD machines on offer to do that job.

When it comes to production equipment, the majority of HJT developers in China have opted for PVD tools from leading western suppliers such as VON ARDENNE in their first lines, mostly process development setups for benchmarking. However, for the subsequent expansion plans, the companies are typically buying tools locally. “Unlike PECVD, PVD is not very critical and there is not a huge difference in performance between the tools from Western and domestic vendors,” said Huasun’s Wang. For its first line, the company has installed tools from Maxwell and VON ARDENNE, while opting only for Maxwell’s PVD for the subsequent expansion. This holds true for Tongwei as well. While European companies working on HJT cell/module production projects stick to local equipment as much as they can, like Enel for its 3 GW HJT Italian facility, it is  also increasingly attractive for newcomers outside China to opt for China-made products as there are turnkey solutions on offer, which is why Reliance chose Maxwell as well. However, unlike for PECVD, for PVD there is the possibility to use both non-China made and Chinese equipment:

VON ARDENNE, a company headquartered in Dresden, Germany with 40 years of experience, is a leading supplier of sputtering tools. The company, which is a key supplier of thin-film equipment to First Solar, has supplied tools worth 14.5 GW for n-type technology. For the application of TCO in HJT processing, it is now promoting a PVD system called XEA|nova L, a modular and flexible tool platform.

The process compartment not only provides various capacity options (up to 600 MW for double side TCO deposition), but it also offers the flexibility to configure the depositions of TCO layer on the front and rear by placing the process units (including gas separation unit) according to the desired film configuration. This is facilitated by the plug-and-play cathodes, which are arranged on a cantilever design, meaning they can be pulled out to the sides like a draw. Such a configuration opens up the possibility to configure the sequence of process and gas separation units, for example to tune transparency and contact properties independently. The system design enables reconfiguring the sputter departments, like sputter up or sputter down or change the gas separation units, according to Sebastian Gatz, Vice President Photovoltaics at VON ARDENNE. The function may not be of use in day-to-day activity, but the need may arise over time in instances such as implementing new target materials or the development of multilayer structured TCOs to achieve higher cell efficiencies. At the same time, the design offers easy maintenance access to magnetrons and heaters, eliminating the need for roof cranes. VON ARDENNE developed and patented a wafer pocket for minimum edge exclusion on the rear side of less than 1 mm over the entire carrier. The carrier is also designed to handle wafers as thin as 120 mm. Meanwhile, patented carrier design without wafer pocket is also available from 2021.

The XEA|nova L is offered in three variants, mainly differing in throughputs – XEA|nova L8: 8,000, XEA|nova L10: 10,800 and XEA|nova L12: 12,000 wafers per hour; all throughputs referring to M6 wafer format. These tools can also process larger wafers. For example, the top configuration of the range XEA|nova L12 processes 7,500 wafers of G12 format and 10,000 M10 substrates on an hourly basis. The tools are also compatible with half wafer formats of larger substrates. VON ARDENNE has also provided the details of savings in CapEx and OpEx with the larger system. Taking the system with 3,600 wafers per hour throughput as the baseline, the system with a throughput of 10,000 wafers per hour can reduce the OpEx by 20% and CapEx by 60%.

Emphasizing that 68% of the PVD OpEx in heterojunction is governed by ITO target material, Gatz said that when this material usage is inevitable, effective usage of target is a must. In other words, the target utilization is a very important topic here, meaning homogeneous removal of the material over the period of production and even along the length of the target. The key requisite is the homogeneous plasma density along the racetrack, especially in the regions of turnaround sections of the racetracks which are very challenging. Optimization of magnetic flux density on the target surface and electric field distribution inside the process chamber can facilitate 90% of target utilization, according to Gatz. XENIA platform enables further CoO decease , realizing 1.2 GW production capacity for single side coating processes (see The Core Story Of HJT).

Maxwell is also offering a PVD tool for TCO deposition. Similar to the majority of production-scale systems, the PVD tool from Maxwell is designed to apply the TCO layer on both sides of the wafer. While the company has not provided any details regarding its reactor design, according to the product brochure provided, the tool features a PVD cathode design with high magnetism, low temperature and a high sputtering rate. It is equipped with a fast and uniform heating unit. Special care is taken during the design of the PVD cathode cavity for high uniformity. The composite structural tray with large size, light load, low thermal expansion coefficient and high flatness is specially made to be suitable for TCO deposition in HJT processing. All this enables the tool to reach a throughput of 7,200 wafers per hour (14,400 half wafers) of the G12 format. It goes up to 9,000 (18,000 half wafers) when processing M10 wafers. The other important specs for the tool are as follows – 90% uptime and target utilization rate, and tact time of 40 seconds (See Reducing Indium In HJT TCO Processing).

Singulus offers a sputtering tool called GENERIS PVD, a horizontal inline sputtering tool specifically designed for application of TCO in HJT processing. While the company is offering several system designs suitable for various capacity requirements, top of its promotional list is the latest configuration that supports a throughput of 10,400 wafers per hour for M6 wafers. The tool also supports larger wafer formats, in case of which the throughput drops to 7,300 wafers per hour with M10 and 6,200 for G12 wafers. Like many other production tools for HJT, the tool is also compatible with half wafer processing.

GS-Solar is also offering PVD tools for applying TCO layers. Like its PECVD tool, the TCO solution has two PVD products with 350 MW and 500 MW production capacity and the system is also flexible to handle all relevant wafer sizes – M6, M10 and G12. For the G12 format, the largest tool in this range has a throughput of 12,800 wafers per hour, while the slightly smaller 350 MW version is designed to process 8,470 wafers per hour, also half pieces. In both cases, the batch size remains the same at 160 half G12 pieces.

Good in principle: The RPD process in principle leads to higher efficiencies as the process is more gentle; however, the technology still has some issues. (Source: SC New Energy).

RPD

S.C New Energy is the only one offering an RPD process for the application of TCO films. While the company has not provided any updated information, the previous explanation still seems relevant. The tool retains its RPD5500 moniker. RPD typically deposits IWO, which has higher carrier mobility; the resulting films of IWO have a carrier mobility of 80 cm2/V.s, whereas even higher carrier mobility of 130 cm2/V.s is possible with ICO. In addition, RPD has a lower discharge voltage of 15 to 20 V compared to 200 to 400 V required for sputtering. The resultant low ion energy prevents the amorphous silicon and TCO from ion bombardment. The tool processes wafers loaded into trays with 100 slots suitable for G1 size. However, like its PECVD system, the company’s RPD system is also capable of processing all other well-known wafer formats – M2, M6, M10 and G12. As the name indicates, it has a throughput of 5,500 wafers per hour for M6. However, RPD is typically a single side process, which necessitates two systems. To address this need, S.C New Energy is offering an extensive configuration under the PAR5500 brand. A part of it, RPD5500a is a single-sided TCO deposition tool with RPD mechanism, and two systems integrated into one set. The second variant is PAR5500A, which is a combination of RPD and PVD. It can coat the HJT cell on both sides at a time. PAR5500B is another combination of RPD and PVD, equipped with a central flipper for those customers who prefer edge exclusion on both sides of the HJT cell.

Jusung is offering a PVD system, the details of which are not known. Same is the case with IES, which emphasized at TaiyangNews Virtual Conference High Efficiency Cell Technologies that it now also has a PVD tool for TCO deposition but has not provided any details. H2GEMINI has designed a PVD system that is being built by an OEM supplier, while the partner’s name remains unknown.

The Text is an a slightly modified excerpt from 3rd edition of TaiyangNews’ Heterojunction Technology 2022 report, which provides an overview on the most recent HJT developments as the technology is entering the GW scale production level and can be accessed free of charge here.

About The Author

Shravan Chunduri

HEAD OF TECHNOLOGY At TaiyangNews, he is responsible for drafting the technology reports and articles that are regularly published in TaiyangNews.--Email: [email protected]

Subscribe To Newsletter


Latest Videos

Loading...